查看原文
其他

2020年半导体检测设备行业研究报告

导语

根据智研咨询和 Gartner,SEMI 数据整理,2020 年检测设备全球市场规模约 131 亿美元。

来源:天风证券



报告综述:

在半导体设计、制造、封装中的各个环节都要进行反复多次的检测、测试以 确保产品质量,从而研发出符合系统要求的器件。缺陷相关的故障成本影响高昂,从 IC 级别的数十美元,到模块级别的数百美元,乃至应用端级别 的数千美元。因此,检测设备从设计验证到整个半导体制造过程都具有无法 替代的重要地位。
检测设备作为能够优化制程控制良率、提高效率与降低成本的关键,未来在 半导体产业中的地位将会日益凸显。预计未来我国半导体检测设备市场广 阔,其主要原因为当前复杂的地缘政治带来国产替代的迫切需求;国家政策 大力支持集成电路产业,产业发展迅速;半导体产业重心由国际向国内转移 带来机遇;中国市场已成为全球最大的设备市场;新应用领域不断涌现,新 器件性能迭代加速,带来设计公司发展新机遇;芯片集成度的不断提高,迎 来了检测设备的更大需求。2020 年我国半导体检测设备市场为 176 亿元, 预计未来五年预计复合增长率为 14%,增速高于全球。
广义上的检测设备分为前道量检测和后道测试设备。量检测的对象是工艺 过程中的晶圆,测试的对象是工艺完成后的芯片。前道量检测对每一步工艺 过程的质量进行测量或者检查,以保证工艺符合预设的指标,防止出现偏差 和缺陷的不合格晶圆进入下一道工艺流程。前道量检测设备 2020 年全球市 场为 69 亿美元,我国约 15 亿美元。前道量检测按照测试目的分为量测和 检测。按照应用主要分为关键尺寸量测、薄膜的厚度量测、套刻对准量测、 光罩/掩膜检测、无图形晶圆检测、图形化晶圆检测和缺陷复查。按照技术 主要分为光学检测设备、电子束检测设备。
后道测试设备关注的是在所有晶圆工艺完成后芯片的各种电性功能。后道测 试设备 2020 年全球市场为 62 亿美元,我国约 14 亿美元。测试设备分为测 试机、探针台和分选机。测试机占比约 63%,国际市场中爱德万和泰瑞达占 据寡头垄断地位,同时先进封装领航者 ASM PACIFIC 近年来在光电测试领 域积极布局。
我们建议重点关注随着未来人工智能、物联网、新能源汽车等新应用领域 所带来的检测行业发展机遇。同时随着芯片集成度越来越高,工艺步骤越 来越复杂,晶圆在生产过程中需要量检测和测试的频次也越来越高,驱动 检测设备市场需求不断提升。基于以上,精测电子的检测设备有望凭借其 自身技术内生发展和外部投资并购布局量价齐升。

1. 检测设备:保驾护航、侦测并监控半导体关键良率偏移

在半导体设计、制造、封装中的各个环节都要进行反复多次的检验、测试以确保产品质量, 从而研制开发出符合系统要求的器件。缺陷相关故障的影响成本从 IC 级别的数十美元, 到模块级别的数百美元,乃至应用端级别的数千美元。因此,检测设备从设计验证到整个 半导体制造过程都具有无法替代的重要地位。检测设备可以帮助工程师发现、侦测并监控 关键的良率偏移,从而加快良率提升并达到更高的产品良率。
1.1. 分类:前道量检测、后道测试,提升良率保障性能
检测设备按照其功能和对应的产业链位置不同,可以分为前道量检测、后道测试两大类, 分别应用于半导体产业链的上游设计验证、中游制程工艺的晶体管结构检测、下游封测芯 片的成品终测。无论是前道检测还是后道测试,都是提升芯片良率及质量的关键设备。
1) 前道量检测设备:
前道量检测对象是工艺过程中的晶圆,它是一种物理性、功能性的测试,用以检测每一步 工艺后产品的加工参数是否达到了设计的要求,并且查看晶圆表面上是否存在影响良率的 缺陷,确保将加工产线的良率控制在规定的水平之上。
前道量检测包含膜厚量测设备、OCD 关键尺寸量测、CD-SEM 关键尺寸量测、光刻校准量 测、图形缺陷检测设备等多种前道量检测设备。由于晶圆制造工艺环节复杂,所需要的检 测设备种类较多,因此也是所有半导体检测赛道中壁垒最高的环节,单机设备的价格比后 道测试设备还高,且不同功能设备价格差异也较大。前道量检测设备供应商目前有美国的 科磊、应用材料;日本的日立;国内的精测电子、中科飞测、上海睿励等。下游客户为集 成电路制造商,包含台积电、中芯国际、长江存储等。
2) 后道测试设备:
应用于上游设计、下游封测环节中,目的是检查芯片的性能是否符合要求,是一种电性、 功能性的检测,用于检查芯片是否达到性能要求。
一、上游设计商需要对流片完的晶圆与芯片样品进行有效性验证,主要设备为测试机、探针台、分选机,因为作为样品测试所以通常并不会大量采购,但是会与下游封测深度联动, 因此绑定集成电路设计商也成为后道测试设备商的壁垒之一。主要下游客户为集成电路设 计商,例如:高通、联发科、海思、卓胜微、韦尔等。
二、封测环节主要可以分为:晶圆测试(CP),针对加工完的晶圆,进行电性测试,识别出能够正常工作的芯片,主要设备为测试机和探针台。部分客户为集成电路制造商还有部份第三方的晶圆测试商;成品测试(FT),最后晶圆切割变成芯片后,针对芯片的性能进行最终测试,主要设备为测试机和分选机;下游客户为集成电路封装测试商,包含日月光、通富、长电等。由于半导体终端应用持续攀升,催生出全自动及高性能的后道测试设备,加上集成电路产业与国际先进水平的差距逐步缩小,封装测试技术达到国际领先水平,后道测试设备迎来重要国产化机遇。后道测试设备供应商目前有美国的泰瑞达、爱德万;国内的精测电子、华峰测控、长川科技等。


1.2. 市场趋势:新应用涌现驱动市场潜力、工艺步骤倍增拓宽市场空间
超越摩尔领域:
模拟/混合信号、RF、MEMS、图像传感、电源等技术可与 CMOS 在各种平面乃至 2.5D、 3D 架构中集成。这些集成和其他关键技术使人工智能、物联网和汽车雷达等一系列应用快速增长。Yole Developpement 数据预计,到 2023 年,超越摩尔市场年增长速度所以晶圆尺寸合 计约 7400 万片硅片,复合年增长率约为 3%。但仅考虑最流行的晶圆尺寸(12"、8"和 6" 晶圆),到 2023 年,预测将变为 6000 万片,复合年均增长率约为 5%。对于半导体制造商 来说,超越摩尔市场已成为半导体需求的重要来源,但这同时意味着需要新的量检测和测 试方法,以适应各种可能影响这些多技术设备产生的故障。
例如,汽车行业的一家主要半导体供应商恩智浦半导体说到:"缺陷相关故障的影响成本从 IC 级别的数十美元,到模块级别的数百美元,到汽车应用端级别的数千美元“。IC 在当 今的汽车中被广泛使用,且未来使用会更多。汽车零部件故障可能导致严重伤害甚至死亡, 所以汽车行业服务的零部件制造商使用以每万亿(ppt)的零件损失为测量标准,可见检 测设备的需求更甚。
如下图可见,在汽车领域,由于缺陷导致故障而无法使用的产品损失极大,在 1ppm 情况 下,大众集团的损失可以达到每年 2.19 亿美元。
摩尔定律领域:
新应用需求驱动了制程微缩和三维结构的升级,使得工艺步骤大幅提升,成熟制程(以 45nm 为例)工艺步骤数大约需要 430 道到了先进制程(以 5nm 为例)将会提升至 1250 道,工艺步骤将近提升了 3 倍;结构上来看包括 GAAFET、MRAM 等新一代的半导体工艺 都是越来越复杂,在数千道制程中,每一道制程的检测皆不能有差错,否则会显著影响芯 片的成败。
中国半导体检测设备未来市场空间广阔具体原因如下:
1.国家政策大力支持集成电路产业,检测作为关键一环尤为重要
集成电路产业是国民经济中基础性、关键性和战略性的产业,作为现代信息产业的基础和 核心产业之一,在保障国家安全等方面发挥着重要的作用,是衡量一个国家或地区现代化 程度以及综合国力的重要标志。国家为扶持集成电路行业发展,制定了多项引导政策及目 标规划。第一,国家为规范集成电路行业的竞争秩序,加强对集成电路相关知识产权的保 护力度,相继出台了《集成电路设计企业及产品认定暂行管理办法》、《集成电路布图设计 保护条例》、《集成电路布图设计保护条例实施细则》等法律法规,为集成电路行业的健康 发展提供了政策保障。
第二,国家出台了若干优惠政策,从投融资、税收、出口等各个方面鼓励支撑电路行业的 发展,具体政策包括《财政部、税务总局、国家发展改革委、工业和信息化部关于集成电 路生产企业有关企业所得税政策问题的通知》、《国务院关于印发进一步鼓励软件产业和集 成电路产业发展若干政策的通知》等,为集成电路企业的发展创造了有利的市场环境。第三,国家指定了《集成电路产业研究与开发专项资金管理暂行办法》、《国务院关于印发 “十三五”国家科技创新规划的通知》等目标规划,将集成电路装备列为国家科技重大专 项,积极推进各项政策的实施。国家政策的落地实施为产业发展破解融资瓶颈提供了保障, 有力促进集成电路专用设备行业的可持续良性发展。
2.半导体产业重心由国际向国内转移带来机遇
中国集成电路行业增长迅速,半导体行业重心持续由国际向国内转移。中国半导体产业发 展较晚,但凭借着市场容量,中国已成为全球最大的半导体消费国。根据 CSIA 数据,2018 年国内集成电路市场规模为 985 亿美元,同比增长 18.53%,2010 年至 2018 年国内集 成电路市场复合增长率达到 21.10%,高于全球市场同期年复合增长率,中国已经超过美国、 欧洲和日本,成为全球最大的集成电路市场。随着半导体制造技术和成本的变化,半导体 产业正在经历第三次产能转移,行业需求中心和产能中心逐步向中国大陆转移。随着产业 结构的加快调整,中国集成电路的需求将持续增长。
3.集成电路产业发展迅速,增速高于 GDP 增长,产品更新换代加速,新型应用领域不断涌 现,为技术超车创造机遇


如上图 IC Insights 的调查数据可见,2020 年集成电路增长率为 8%,远超 GDP 增长,同 样,2021 年预计集成电路增长率为超过 10%,是 GDP 增长率的两倍以上。
作为全球最大的集成电路市场,中国集成电路产业随着 5G、电动汽车等的快速发展持续增长,为半导体测试需求带来增量空间。在国家重大科技专项的支持下,“十二五”期间 中国集成电路产业各个环节的整体水平都有了明显提升,国产软硬件在航天、电力、办公 应用和移动智能终端等领域实现规模应用,为保障国家信息安全提供了重要支撑。伴随技 术革新和产业升级换代的波浪式递进,市场机会窗口不断涌现,每一次的技术升级都为集 成电路及其专用设备制造企业带来了发展机会。当前,以互联网、智能手机为代表的信息产业的第二次浪潮已步入成熟,增速放缓,而以 物联网为代表的信息感知及处理正在推动信息产业进入第三次浪潮,物联网革命已经悄然 开始。在物联网智能时代,由于交互模式的改变,智能化产品的多样性必然会更加丰富, 对各类信息的采集形成了快速膨胀的数据处理需求,对海量数据的有效处理将成为真正推 动集成电路行业发展的核心驱动力。物联网、大数据、人工智能、5G 通信、汽车电子等 新型应用市场带来巨量芯片增量需求,为半导体自动化测试系统企业提供更大的市场空间;同时,第三代半导体 GaN 等半导体新技术的出现为国内半导体自动化测试系统企业带来 超车国际巨头的新机遇。
4. 大陆芯片设计公司迎来大发展时代,检测需求将跟随发展
近年来,集成电路测试行业发展迅速,根据中国半导体行业协会 IC 设计分会的统计,截 至 2019 年 11 月,中国大陆 IC 设计公司达到 1,780 家,比 2018 年的 1,698 家多了 82 家,中国大陆的芯片设计公司迎来高速成长。IC 设计行业 2019 年的销售额为 3,063.5 亿元,相比 2018 年增长了 21.60%。芯片设计公司的快速增长,使得芯片检测设备的市场 需求随之增长。随着国内集成电路产业的快速发展和国产化加速,晶圆制造、芯片设计公 司的测试服务需求越来越多,检测设备相关企业将迎来新的发展机遇。
5.芯片复杂度提高,验证测试要求越发严格
对芯片最显著的改进不仅仅在设计流程中产生,而且在芯片调试和验证流程中反复进行, 尤其是在高性能芯片的研制过程中。随着芯片复杂度的提高,对验证测试的要求更加严格, 与设计流程的交互更加频繁。随着芯片速度与功能的不断提高,超大规模集成电路尤其是 集成多核的芯片系统(SOC)的出现使得芯片迅速投入量产过程难度增加,由此验证测试 变得更加必要。目前,开发低成本高效率的全面验证测试策略成为芯片制造商的关注点。能够在早期(如初次样片测试阶段) 全面获取芯片品质鉴定的信息变得至关重要。
6.检测本身已从工序到独立行业,贯穿所有流程,未在检测流程发现缺陷则损失惨重
早期的检测只是作为 IC 生产中的一个工序存在,被合并在制造业或封装业中。随着集成 电路产业分工日益明晰和人们对集成电路品质的重视,再加上技术、成本和知识产权保护 等诸多因素,检测目前正成为集成电路产业中一个不可或缺的、专业化的独立行业,作为 设计、制造和封装的有力技术支撑,推动了集成电路产业的迅速发展。
在集成电路研制、生产、应用等各个阶段都要进行反复多次的检验、测试来确保产品质量 和研制开发出符合系统要求的电路。半导体检测从设计验证到最终测试都不可或缺,贯穿 整个半导体制造过程。半导体检测包括设计验证、工艺控制检测、晶圆测试(CP 测试)以及 成品测试(FT 测试)。
按照电子系统故障检测中的“十倍法则”,如果一个芯片中的故障没有在芯片测试时发现, 则在电路板(PCB)级别发现故障的成本为芯片级别的十倍。因此,检测在半导体产业中扮演 着重要角色,且其地位日益凸显。
1.3. 市场规模:重要地位日益凸显,中国增速高于全球
全球半导体检测设备市场概况: 根据智研咨询和 Gartner,SEMI 数据整理,2020 年检测设备全球市场规模约 131 亿美元, 如下图可见。
我国半导体检测设备市场概况:
据前瞻产业研究院统计,2020 年我国半导体检测设备市场规模 176 亿元。


随着我国半导体产业的不断发展,检测设备作为能够提高制程控制良率、提高效率与降低 成本的重要检测仪器,未来在半导体产业的地位将会日益凸显。前瞻产业研究预计 2026 年我国半导体检测设备市场有望到达 400 亿元。2020-2026 CAGR 为 14.7%,增速高于全 球。


按照应用范畴分类,量检测可以主要分为七大类:关键尺寸量测、薄膜厚度量测、套刻对 准量测、光罩/掩膜检测、无图形晶圆检测、图形化晶圆检测、缺陷复查检测等。
1.4. 竞争格局:国外高度垄断,国产替代需求迫切有望提速
目前,国际国内市场中检测设备被国外高度垄断,目前绝大部分半导体设备依然高度依赖 进口,提升“核芯技术”自主化率已迫在眉睫。
量检测设备领域:
量检测设备行业具有极高的技术、资金壁垒,对业内公司研发能力有很强要求。海外巨头 KLA 为首,AMAT、Hitachi 等合计占比超 90%。国内设备厂商由于起步晚基础薄,始终在 努力追赶,国产设备仍有很大的突破空间。前道设备种类复杂,细分市场较多;其中,膜 厚量测技术门槛较低,集中度相对分散,为国内厂商进入检测设备的突破口。
测试设备领域:
测试种类繁多,客户需求多样化,因此测试设备往往存在非标定制化的特点。根据性能要 求的不同,类别也是五花八门,包括外观尺寸测试、视觉测试等。虽然相比于光刻机、刻 蚀机等前道设备,测试设备的制造相对容易一些,但是也存在较高的推广难度。目前全球 设备市场份额主要被美、日等发达国家的先进厂商所占据,半导体测试设备行业已经形成 了泰瑞达、爱德万两家垄断的局面。国内半导体设备厂商想要提高市场份额依然面临极大 挑战。
进口替代需求迫切,测试设备的国产替代进程将加速:
受中美贸易摩擦影响,供应链的安全日益受到重视,国产测试设备将得到更多的试用机会, 在中低端模拟测试机和分选机领域,国产替代明显提速。目前绝大部分半导体设备依然高 度依赖进口,提升“核芯技术”自主化率已迫在眉睫,上升至国家战略,进口替代是国内 半导体设备公司面临的重大机遇。2018 年以来,国产半导体测试设备向中国大陆市场拓展, 国产替代进程明显提速。

2. 前道量检测设备:物理、功能性检查,提升良率,市场壁垒高筑

前道量检测运用于晶圆的加工制造过程,它是物理性、功能性的,用以检测每一步工艺后 产品的加工参数是否达到了设计的要求,并且查看晶圆表面上是否存在影响良率的缺陷, 确保将加工产线的良率控制在规定的水平之上。
前道量检测包含膜厚量测设备、OCD 关键尺寸量测、CD-SEM 关键尺寸量测、光刻校准量 测、图形缺陷检测设备等多种前道量检测设备。由于晶圆制造工艺环节复杂,所需要的检 测设备种类较多,因此也是所有半导体检测赛道中壁垒最高的环节,单机设备的价格比后 道测试设备还高,且不同功能设备价格差异也较大。前道量检测设备供应商目前有美国的 科磊、应用材料;日本的日立;国内的精测电子、中科飞测、上海睿励等。下游客户为集 成电路制造商,包含台积电、中芯国际、长江存储等。
2.1. 三种分类标准:检测目的、应用范畴、技术原理
按照不同的分类方法,集成电路可以被分成不同的类型。
1) 按照检测目的可以分为量测(Metrology)和缺陷检测(Defect Inspection)
2) 按照应用范畴主要可以分为关键尺寸测量(Optical Critical Dimension OCD)、薄膜的 厚度测量(Film Metrology)、套刻对准测量(Overlay Metrology)、光罩/掩膜检测(Reticle Inspection)、无图形晶圆检测(Non-patterned Wafer Inspection)、图形化晶圆检测 (Patterned Wafer Inspection)、缺陷复查(Review SEM)
3) 按技术原理可以分为光学检测设备(Optical Inspection Equipment),电子束检测设备 (E-beam Inspection Equipment)和其他检测设备
2.2. 检测目的分类:量测和检测,价值量随工艺技术同步提升
量测(Metrology)和检测(Inspection):
前道量检测根据检测目的可以细分为量测(Metrology)和检测(Inspection)。量测主要是 对薄膜厚度、关键尺寸、套准精度等制成尺寸和膜应力、掺杂浓度等材料性质进行测量, 以确保其符合参数设计要求;而缺陷检测主要用于识别并定位产品表面存在的杂质颗粒沾 污、机械划伤、晶圆图案缺陷等问题。
量测和缺陷检测对于半导体制造过程非常重要。半导体晶圆的整体制造过程有 400 至 600 个步骤,需要一到两个月内完成。如果流程早期出现任何缺陷,则后续耗时步骤中执行的 所有工作都将被浪费。因此,在半导体制造过程的物理量测和缺陷检测是其中的关键步骤, 用于确保良率和产量。新应用需求驱动了制程微缩和三维结构的升级,使得工艺步骤大幅 提升,成熟制程(以 45nm 为例)工艺步骤数大约需要 430 道到了先进制程(以 5nm 为 例)将会提升至 1250 道,工艺步骤将近提升了 3 倍;结构上来看包括 GAAFET、MRAM 等新一代的半导体工艺都是越来越复杂;虽然相较于制造设备,量测设备的技术门槛较低, 但是在数千道制程中,每一道制程的检测皆不能有差错,否则会显著影响芯片的成败。
量测(Metrology):
量测(Metrology)不仅指测量行为本身,而且指通过考虑误差和准确性而进行的测量,以 及测量设备的性能和机制。如果测量结果不在给定的规格范围内,则制造设备无法按设计 继续运行。
检测(Inspection)查找缺陷的位置坐标:
检测可以检测缺陷并指定其位置涉。主要用于使用检查设备来检查是否出现异质量情况, 如检测晶圆中存在灰尘或者颗粒污染等缺陷的过程。具体来说,它旨在查找缺陷的位置坐 标(X,Y)。
2.3. 应用范畴分类:关键尺寸、膜厚、套刻对准,光罩/掩膜、图形、缺陷复查等
按照应用范畴分类,量检测可以主要分为七大类:关键尺寸量测、薄膜厚度量测、套刻对 准量测、光罩/掩膜检测、无图形晶圆检测、图形化晶圆检测、缺陷复查检测等。
2.3.1. 关键尺寸量测:监控线宽和孔径,实现精确误差测量
量测按应用可以主要分为关键尺寸量测,薄膜的厚度量测及套刻对准量测
1)关键尺寸量测(OCD-Optical Critical Dimension Metrology):
关键尺寸量测-半导体制程中最小线宽一般称之为关键尺寸,其变化是半导体制造工艺中 的关键。随着关键尺寸越来越小,容错率也越小,因此必须要尽可能的量测所有产品的线 宽,可见关键尺寸的量测重要性越发关键。
案例:在半导体晶圆的指定位置测量电路图案的线宽和孔径
2.3.2. 薄膜厚度量测:厚度、反射率、密度量测,鉴定和监控不同薄膜层
薄膜厚度量测(Film Metrology):
在整个制造工艺中硅片表面有多种不同类型的薄膜,包含金属、绝缘体、多晶硅、氮化硅 等材质。晶圆厂为生产可靠性较高的芯片时薄膜的质量成为提高成品率的关键,其中薄膜 的厚度、反射率、密度等都须要进行精准的量测。
案例:测量半导体晶圆表面薄膜的厚度
2.3.3. 套刻对准量测:高阶矫正光刻机、掩模和硅片位置误差,提高覆盖精度
套刻对准测量(Overlay Metrology):
套刻对准测量应用在光刻工艺后,主要是用于量测光刻机、掩模版和硅片的对准能力。量 测系统检查覆盖物的准确性(叠加工具)测量用于检查传输到晶圆上的第一层和第二层图 案的射覆盖精度。
2.3.4. 光罩/掩模检测:捕获光罩缺陷和图案位置错误,降低缺陷引发风险
光罩/掩模检测(Reticle Inspection):
可以说,光罩/掩膜检测远比其他应用,例如无图案或图案晶圆检测重要。这是因为,虽 然裸晶圆或图案晶圆上的单个缺陷有可能损坏一个器件,但掩模版上的单个缺陷可能会摧 毁上千个器件。
在半导体器件生产中,零缺陷光罩(也称为光掩模或掩模)是实现芯片制造高良率的关键 因素之一,因为光罩上的缺陷或图案位置错误会被复制到产品晶圆上面的许多芯片中。光 罩的制造采用光罩基板,即镀了吸收薄膜的石英基板。优秀的光罩检测、量测和数据分析 系统产品能够协助光罩基板、光罩和 IC 制造商识别光罩缺陷和图案位置错误,以降低良率 风险。
通常,掩模在使用过程中很容易吸附粉尘颗粒,而较大粉尘颗粒很可能会直接影响掩模图 案的转印质量,如果不进行处理会进一步引起良率下降。因此,在利用掩模曝光后,通常 会利用集成掩模探测系统对掩模版进行检测,如果发现掩模版上存在超出规格的粉尘颗粒, 则处于光刻制程中的晶圆将会全部被返工。掩模检测系统工作原理可见下图:


Fab 中对掩模缺陷的检测分为在线和离线两种。在线检测是指每次曝光之前和之后对掩模 板表面检测。这通常是依靠光刻机中内置的检测单元来完成的。最常见的是集成在 ASML 系列光刻机上的掩模检测系统。IRISTM 对即将被使用的掩模或刚使用完毕后的掩模的正反 两面分别扫描,发现吸附在掩模上的颗粒,并报警。光刻工程师看到报警信号后做相应处 理。图 16 是 IRISTM 工作的原理图。在做颗粒扫描时,掩模沿 Y 方向运动由机械手控制, X 方向的扫描由激光束的移动来实现。完成一次 IRISTM 扫描的时间大约等价于 2 到 3 个晶 圆曝光的时间。通常对一批晶圆可以只做一次 IRISTM 扫描,这样可以减少占用生产的时 间,提高光刻机的产能。
离线检测是指定期地把掩模从系统中调出来做缺陷检测。检测的时间间隔可以在掩模版管 理系统中设定,也可以按使用的次数来决定是否做检测。半导体设备供应商提供专用设备 来做这种检测。离线检测的优点是分辨率高,有些检测设备还能对检测出来的缺陷做简单 处理。
光罩/掩模检测设备案例:
EUV 光罩/掩模检测:波长更短,检测灵敏度更高
传统的检查 EUV 光掩膜的方法主要是将深紫外光(DUV)应用于光源中,而极紫外(EUV)的波长较 DUV 更短,产品缺陷检测灵敏度更高。
EUV 掩模版的检测原理为:电磁波辐射到细小缺陷颗粒上被散射形成暗场,这样可以实现缺陷的检测,系统采用 364nm 的工作波长,对于基地大小为 88nm 的缺陷,检测可行度为97%。


EUV 光罩/掩膜检测市场,Lasertec 高度垄断
除了仅由 ASML 提供的 EUV(极紫外光)光刻系统之外,三星电子和台积电之间在争夺超 微加工工艺所需设备的安全方面的竞争也越来越激烈。APMI(光化图案掩膜检查)系统 和制造掩膜的写入器就是最好的例子。这个设备是芯片制造的关键工具,当芯片制程小于 5 纳米时,它们将决定生产率和质量。
EUV 掩模的高科技检查系统能够检查基于复杂结构的 EUV 掩模,比目前使用 ArF 光源的检 查系统更精确,更紧密。这个新的检查系统在将掩模引入生产线之前和之后进行检查。业 界将此系统称为 APMI 系统。
EUV 光罩(半导体线路的光掩模版、掩膜版)检验设备最近几年需求增长尤其旺盛,在这 个领域,日本的 Lasertec Corp.是全球唯一的测试机制造商,Lasertec 公司持有全球市场 100% 的份额。2017 年,Lasertec 解决了 EUV 难题的关键部分,当时该公司创建了一款可以检查 空白 EUV 掩模内部缺陷的机器。2019 年 9 月,它又推出了可以对已经印有芯片设计的模 板进行相同处理的设备,从而又创建了另一个里程碑。
传统的检查 EUV 光掩膜的方法主要是将深紫外光(DUV)应用于光源中,而 EUV 的波长 较 DUV 更短,产品缺陷检测灵敏度更高。DUV 光虽然也可以应用于当下最先进的工艺 5 纳米中,但是 Lasertec 公司的经营企划室室长三泽祐太朗指出,“随着微缩化的发展,在 步入 2 纳米制程时,DUV 的感光度可能会不够充分”即,采用 EUV 光源的检测设备的需 求有望进一步增长。
根据彭博社的报道,Lasertec 股价自 2019 年初到 2020 年下旬,已增长了 550%。在其公布 的2020年7 月-9月三个月的财报显示,这三个月Lasertec 的销售额达到了131.65 亿日元, 而 2019 年同期的销售额则仅为 55.42 亿日元,增长了超过两倍。随着之后 5nm 制程的不 断推进,Lasertec 未来的盈利增长空间广阔。
2.3.5. 无图形晶圆检测:检出裸晶圆颗粒及缺陷,奠定图形化检测基础
无图形晶圆检测(Non-patterned Wafer Inspection):
图形化定义:图形化使用光刻法和光学掩膜工艺来刻印图形,在器件制造工艺的特定工序, 引导完成晶圆表面的材料沉积或清除。对于器件的每一层,在掩膜未覆盖的区域沉积或清 除材料,然后使用新的掩膜来处理下一层。按照这种方式来重复处理晶圆,由此生成多层 电路。
无图形化检测指在开始生产之前,裸晶圆在晶圆制造商处获得认证,半导体晶圆厂收到后 再次认证的检测的检测过程。
无图形晶圆检测系统用于晶圆制造商中的晶圆运输检验、晶圆进货检验以及使用虚拟裸晶 圆监控设备清洁度的设备状况检查。设备状况检查也由设备制造商在装运检查时和进货检 查时执行。设备制造商使用光学检测系统检查晶圆和掩模板有无颗粒和其他类型的缺陷, 并确定这些缺陷在晶圆上的 X-Y 网格中的位置。
基本原理:
用于无图形晶圆缺陷检测的基本原理相对简单。激光束在旋转的晶圆表面进行径向扫描, 以确保光束投射到所有晶圆表面。激光从晶圆表面反射,就像从镜子反射一样,如上图所 示。这种类型的反射称为镜面反射。当激光束在晶圆表面遇到粒子或其他缺陷时,缺陷会 散射激光的一部分。可直接检测散射光(暗场照明)或反射光束(亮场照明)中强度的损 失。
由于没有图案,因此无需图像比较即可直接检测缺陷。当激光束投射到旋转晶圆的粒子/ 缺陷上时,光线将被探测器散射和探测。因此,检测到粒子/缺陷。从晶圆旋转角度和激光 束的半径位置,计算和记录了粒子/缺陷的位置坐标。镜面晶圆上的缺陷还包括晶体缺陷, 如 COP 以及颗粒。
晶圆的旋转位置和光束的径向位置决定了缺陷在晶圆表面的位置。在晶圆检测工具中,使 用 PMT 或 CCD 方式记录光强度,并生成晶圆表面的散射或反射强度图。此图提供有关 缺陷大小和位置的信息,以及由于颗粒污染等问题而导致的晶圆表面状况的信息。
2.3.6. 图形化晶圆检测:比较图像生成缺陷图,识别物理和高纵横比缺陷
图形化晶圆检测(Patterned Wafer Inspection):
应用材料公司表明,随着图形化和几何结构线宽的缩小,在早期技术节点不构成问题的瑕 疵,现在已成为“致命”的缺陷,或影响成品率的主要因素。
图形化晶圆的光学检测可采用明场照明、暗场照明,或两者的组合进行缺陷检测。此外, 电子束 (EB) 成像也用于缺陷检测,尤其是在光学成像效果较低的较小几何形状中。然 而,它非常缓慢,只在研发阶段使用。模纹晶圆检测系统将晶圆上的测试芯片图像与相邻 芯片(或已知无缺陷的"金"模片)的图像进行比较。缺陷的位置会生成缺陷图,类似于为 无图案晶圆生成的图。与无图案晶圆的检查一样,图形化晶圆检测需要精确且可重复的运 动控制,测试系统的晶圆级和光学元件同时移动。
2.3.7. 缺陷复查检测:放大缺陷图像进行甄别,提供依据优化制程工艺
缺陷复查检测 (Review SEM):
随着半导体集成电路工艺节点的推进,作为晶圆厂制程控制主力设备的光学缺陷检测设备 的解析度已无法满足大规模生产和先进制程开发需求,必须依靠更高分辨率的电子束复检 设备的进一步复查才能对缺陷进行清晰地图像成像和类型的甄别,从而为半导体制程工艺 工程师优化制程工艺提供依据。
缺陷复查是一种使用扫描电子显微镜 (SEM)检查晶圆上的缺陷。使用缺陷复查将半导体 晶圆缺陷检测系统检测到的缺陷放大为高放大倍率图像,以便对该图像进行检阅和分类。缺陷复查设备主要与电子设备和其他半导体生产线的检测系统一起使用。
在缺陷检测系统中,将缺陷图像与相邻的模子图像(参考图像)进行比较,由于图像差异 (差值图像处理)而检测缺陷。与缺陷检测系统类似的缺陷复查设备通过与相邻模具的电 路模式进行比较来检测缺陷,并获得缺陷的正确位置。然后将缺陷移动到视场的中心,并 拍摄放大的照片。
缺陷复查设备通常工作流程:
1.使用检测系统检测出晶圆缺陷。检测系统列出缺陷的位置坐标,并输出到文件中。
2.检查出晶圆和检验结果的文件加载到缺陷复查设备中。
3.拍摄列表中缺陷的图像:
根据缺陷列表中的位置信息确定缺陷位置。缺陷的图像由缺陷复查设备决定是否复查缺陷。有时,使用缺陷数据文件中的位置信息无法发现晶圆上的缺陷。由于各种错误,仅使用位 置信息不容易发现缺陷。
2.4. 技术原理分类:光学、电子束检测,应用互补,多方位检测
在前道工艺中,有很多类型的检测系统,其中包括电子束检测系统、光学明场检测系统和 光学暗场检测系统。一般来说,光学明场检测系统用于详细检查模式缺陷。光学暗场检测 系统可以高速检测,用于大量晶圆的缺陷检测。激光从晶圆表面反射,就像从镜子反射一 样。当激光束在晶圆表面遇到粒子或其他缺陷时,缺陷会散射激光的一部分。暗场直接检 测散射光,明场照明反射光束中强度的损失。电子束检测可提供材料对比度,其动态分辨 率范围比光学检测系统大得多。
光学检测、电子束检测两者在制程工艺的检测中应用互补。光学的特点在于快速与完整, 通常可以全天候进行检测,在需要实时检测以及离工艺机台较近甚至直接与工艺机台集成 的应用场景下就会使用光学检测,通过光的反射、衍射光谱进行测量,具备检测速度快、 成本低、范围广的优点;但是传统光学的波长是奈米等级,无法做非常精细的检测,所以 会再使用电子束做更精细的检测。电子束波长是皮米等级,可以高分辨率的采集图像进行 分类与分析。对于工艺的将测必须要精确评估,如果未检测到制程偏移和潜在良率问题, 会使得生产的产品无法使用,因此需要多项检测设备进行多方位的检测。


应用情况:
无图形晶圆检测:通常,暗场检测是无图形晶圆检测的首选,因为可以达到高栅格速度, 可实现高晶圆吞吐量。图形化晶圆检测是一个慢得多的过程。它使用明场和/或暗场成像, 具体取决于应用。
电子束 (EB) 成像也用于缺陷检测,尤其是在光学成像效果较低的较小几何形状中。电 子束检测可提供材料对比度,其动态分辨率范围比光学检测系统大得多。然而,电子束应 用受测量速度缓慢限制,因此主要在研发环境和工艺开发中对新技术进行鉴定。新的电子 束工具可用于 10 nm 及更低节点的缺陷检测应用,并且正在开发具有最多 100 列或测量 通道的多电子束工具。在电子束检测系统中,电子束被照射到晶圆表面,并检测出发射的 二次电子和背散射电子。此外,电子束检测系统根据器件内部布线的电导率,将二次电子 的量作为图像对比度(电压对比度)进行检测。如果检测到高纵横比接触孔底部的电导率, 可以检测到超薄厚度的 SiO2 残留物。
光学(明场,暗场),电子束检测都有其自身的功能,不过基本检测原理是相似的:基本原理:随机缺陷通常由颗粒(如灰尘)引起,并且发生在随机位置,正如名称所暗示 的那样,随机缺陷在特定位置反复发生的可能性极低。因此,晶圆检测系统可以通过比较 相邻芯片(也称为 DIE)的图案图像获取差异来检测缺陷。
如下图:晶片上的图案被电子束或光沿管芯阵列捕获。通过比较下图中的图像(1)图像 (2)来检测缺陷。如果没有缺陷,则通过数字处理从图像(1)中减去图像(2)的得到 为零的结果。相反,如果裸片图像(2)的中存在缺陷,则该缺陷将保留(如图像(3)), 这个缺陷会被记录其位置坐标。

3. 后道测试设备:电性测试,新产线持续投入带动市场高速增长

半导体后道测试设备主要是用在晶圆加工之后、封装测试环节内,目的是检查芯片的性能 是否符合要求,属于电性能的检测。如下图可见晶圆检测和成品测试为晶圆制造和封装测 试的最终步骤:对晶圆上的裸芯片进行功能和电参数测试。探针台将晶圆逐片自动传送至测试位置,芯片 的 Pad 点通过探针、专用连接线与测试机的功能模块进行连接,测试机对芯片施加输入 信号并采集输出信号,判断芯片功能和性能在不同工作条件下是否达到设计规范要求。测 试结果通过通信接口传送给探针台,探针台据此对芯片进行打点标记,形成晶圆的 Map 图。该环节的目的是确保在芯片封装前,尽可能地把无效芯片筛选出来以节约封装费用。
成品测试环节:成品测试是指芯片完成封装后,通过分选机和测试机的配合使用,对封装 完成后的芯片进行功能和电参数测试。分选机将被测芯片逐个自动传送至测试工位,被测 芯片的引脚通过测试工位上的基座、专用连接线与测试机的功能模块进行连接,测试机对 芯片施加输入信号并采集输出信号,判断芯片功能和性能在不同工作条件下是否达到设计 规范要求。测试结果通过通信接口传送给分选机,分选机据此对被测芯片进行标记、分选、 收料或编带。该环节的目的是保证出厂的每颗集成电路的功能和性能指标能够达到设计规 范要求。
随着 2018-2020 年中国大陆多家晶圆厂陆续投建及量产,国内封测厂陆续投入新产线以 实现产能的配套扩张,将持续带动国内半导体测试设备市场高速增长。
3.1. 分类:测试机、探针台、分选机,保障性能助力良率提升
主要测试设备简介:测试机(ATE)、探针台(Prober)、分选机(Handler) 半导体测试处于晶圆制造、封装测试这两个工序里,核心设备涵盖了测试机、分选机、探 针台 3 种,都是通过计算机控制进行测试检验的自动化设备。其中,测试机负责检测性能, 后两者主要检测连接性;探针台与测试机配合于晶圆制造工序,分选机与测试机配合在封 装测试工序。
3.2. 全球市场:测试机市场份额过半
测试机(ATE)是检测芯片功能和性能的专用设备,分选机和探针台是将芯片的引脚与测 试机的功能模块连接起来的专用设备,与测试机共同实现批量自动化测试。
2020 年后道测试设备市场规模约 62 亿美元。根据 Gartner 数据,2016 年至 2018 年全球 半导体后道测试设备市场规模为 37 亿、47 亿、56 亿美元,年复合增长率为 23%,2019 年 根据 SEMI 发布全球半导体设备中后道设备占 9%计算,主要受到全球半导体设备景气的影 响下降至 54 亿美元。后道量测设备中测试机在 CP、FT 两个环节皆有应用,因此占比最大 达到 63.1%,其他设备分选机占 17.4%、探针台占 15.2%。测试机占比大的原因:在设计验 证和成品测试环节,测试机需要和分选机配合使用;在晶圆检测环节,测试机需要和探针 台配合使用。
3.3. 测试机:测试器件电路功能及电性能参数,保驾护航贯穿始终
测试机(ATE):
半导体测试机又称半导体自动化测试机,与半导体自动化测试系统同义。两者由于翻译的 原因,以往将 Tester 翻译为测试机,诸多行业报告沿用这个说法,但现在越来越多的企 业将该等产品称之为 ATE system,测试系统的说法开始流行,整体上无论是被称为 Tester 还是 ATE system,皆为软硬件一体。半导体测试机测试半导体器件的电路功能、电性能参 数,具体涵盖直流参数(电压、电流)、交流参数(时间、占空比、总谐波失真、频率等)、 功能测试等。集成电路测试贯穿了集成电路设计、生产过程的核心环节,具体如下:第一、集成电路的设计流程需要芯片验证,即对晶圆样品和集成电路封装样品进行有效性 验证;
第二、生产流程包括晶圆制造和封装测试,在这两个环节中可能由于设计不完善、制造工 艺偏差、晶圆质量、环境污染等因素,造成集成电路功能失效、性能降低等缺陷,因此, 分别需要完成晶圆检测(CP, Circuit Probing)和成品测试(FT, Final Test),通过分析测 试数据,能够确定具体失效原因,并改进设计及生产、封测工艺,以提高良率及产品质量。无论哪个环节,要测试芯片的各项功能指标均须完成两个步骤:一是将芯片的引脚与测试 机的功能模块连接起来,二是通过测试机对芯片施加输入信号,并检测输出信号,判断芯 片功能和性能是否达到设计要求。
3.4. 探针台:负责晶圆输送与探针定位,提升测试效率
探针台市场趋势:长期看好
长期来看,国内的半导体整体产业及半导体制造业增长稳定,带动封测需求。随着联网设 备的大规模成长,以及对数据处理、运算能力和数据存储的需求激升,驱动了物联网、人 工智能与高效能运算等技术的逐渐成熟,人工智能及物联网等终端产品的应用,包括 5G 通讯、工业用智能制造、车用电子与智慧家居等需求即将量增。终端应用持续攀升将导致 对半导体的需求日渐增长,刺激半导体封测技术、需求明显提升,催生 IC 封装从低阶封装 技术,朝向高阶和先进封装技术等领域发展。对于仰仗半导体封测业的探针台产业而言, 终端应用衍生的高阶封装需求激增,封测需求持续成长,加上半导体产业导入新材料所衍 生的各种机会,都有望刺激探针卡市场需求持续增长。
技术趋势:向高、精、尖和自动化发展
晶圆尺寸持续增大,从 6”到 8”再到目前的 12",而对应的探针台也从手动向半自动和全 自动发展。在此过程中,涉及到晶圆尺寸、精度、分辨率以及测试原理等变化,未来的探 针台将沿着以下几个方向改进。
(1)测试品种多。早期的探针台主要针对一些分立器件进行测试,测试精度要求不是很高, 但是随着信息化的发展、晶圆片尺寸增加、封装尺寸的减小以及纳米工艺技术的成熟,对 测试效率和稳定性提出很高的要求。其产品测试已经扩展到 SOC、霍尔元件等领域,因此, 大直径晶圆片测试、全自动晶圆测试以及高性能晶圆片测试是未来的发展方向。
(2)微变形接触技术。Mirco Touch 微接触技术,它减少了测试易碎器件或者 pad 处于活动 电测区域下的接触破坏,实现了对于垂直升降系统的精准的控制,大大降低了探针接触晶 圆的冲击力,同时也提高了测试过程中探针的精准度,保证了良品率。因此,未来的探针 台将会在微变形接触等技术上投入更大的成本。
(3)非接触测量技术。随着电磁波理论和 RFID (射频识别)技术的成熟,接触式测试将会因为 更高的良率、更短的测试时间以及更低的产品成本等潜在优势越来越受到青睐。这种测试 方法中,每个裸片内含集成天线,TESTER 通过电磁波与其通信,可以消除在标准测试过程中偶然发生的测试盘被损时间,减低缺陷率。
目前,我国的半导体行业的国产化率仍然比较低,设备领域尤其明显,探针台市场领域, 国产设备的在国内市场的份额不超过 20%,亟需发展和提高。随着以深圳矽电、长川科技、 中电科 45 所为代表的国内产设备企业飞速发展,预计未来国产探针台在国内市场的占比 将越来越高。国内半导体产业的逐步崛起,将给上游设备龙头公司带来较大的成长空间。
3.5. 分选机:高精度高兼容,负责产品的测试接触、拣选和传送
分选机(Handler):
封装测试环节的核心设备为测试机和分选机。分选机主要承担机械方面的任务,包括产品 的测试接触、拣选和传送等。分选机把待测芯片逐个自动传送至测试工位,芯片引脚通过 测试工位上的金手指、专用连接线与测试机的功能模块进行连接,测试机对芯片施加输入 信号并采集输出信号,完成封装测试。测试结果通过通信接口传送给分选机,分选机据此 对被测试集成电路进行标记、分选、收料或编带。集成电路产品的封装类别多样化,使得 分选机设备生产商需要持续改进机械结构和精度,并提高其兼容性,以满足对不同封装尺 寸和外形的需求。


为便于研究人员查找相关行业研究报告,特将2018年以来各期文章汇总。欢迎点击下面红色字体查阅!


文琳行业研究 2018年—2021年3月文章汇总


文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳行业研究

  1. 碳中和专题研究报告:投资框架、产业映射及垃圾焚烧量化评估

  2. 2020年碳中和行业研究报告

  3. 2021年碳化硅行业研究报告

  4. 碳达峰和碳中和专题报告:内涵、趋势与投资机会

  5. 2020年特种钢铁行业研究报告

  6. 电解铝行业研究报告:碳中和重塑铝供给结构,高盈利或成常态

  7. 铜行业深度报告:经济复苏、通胀归来下最受益的大宗商品之一

  8. 深度解析:氢能产业链全景梳理

文琳行研报告,为各机构提供专业的信息、数据、研究和咨询服务。欢迎关注【文琳行业研究】


文琳资讯,每日提供最新信息。欢迎关注【文琳资讯】
今日导读:点击下面链接可查阅
  1. “农业地产”中国下一个暴利行业!中国“首富”必将从“农业地产”产生!

  2. 资本市场实力榜重磅发布!京沪深称霸,两大城市群遍地开花,西部热衷发债,中部创投崛起

  3. 赵丽颖跟冯绍峰的离婚经济学

  4. 监管突然"喊话",高度警惕私募、房地产等领域风险,从事金融活动严禁"无照驾驶"

  5. 央行大动作!4399家银行业金融机构评级出炉,高风险"扎堆"北方,这些类型银行都很安全

  6. 一线城市,迎来了又一波暴击!

  7. 注意!这个电话一定要接!

  8. 蒙古国出事了

《文琳阅读》每晚经典,欢迎关注!

文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳阅读

  1. 人与人走得太近,是一场灾难(说得太对!)

  2. 成年人想实现「早餐自由」,究竟有多难?

  3. 中国茶暗语,莫在喝茶时失礼!

  4. 音乐欣赏:戴望舒的诗《雨巷》被谱成歌曲,好听 !

  5. 黯然销魂的十部电影(在线观看)

  6. 广州最火早茶餐厅

  7. 每天学一味中药,冬凌草!

  8. “What are you going to do?”不是问你要干什么,要听懂话外音!

公众号 :就业与创业

  1. 中国985高校毕业就业人数最多的公司是这三家
  2. 机关事业单位,属于违规发放津补贴的12种行为
  3. 上市公司财务造假,独立董事该担多大的责?
  4. 微小说:热闹



继续滑动看下一个
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存